论文网首页|会计论文|管理论文|计算机论文|医药学|经济学论文|法学论文|社会学论文|文学论文|教育论文|理学论文|工学论文|艺术论文|哲学论文|文化论文|外语论文|论文格式
中国论文网

用户注册

设为首页

您现在的位置: 中国论文网 >> 工科论文 >> 通信学论文 >> 正文 会员中心
 通信学论文   交通运输论文   工业设计论文   环境工程论文   电力电气论文   水利工程论文   材料工程论文   建筑工程论文   化学工程论文
 机械工程论文   电子信息工程论文   建筑期刊   工科综合论文   汽车制造
在测控系统中用IP核实现D/A转换
摘要:采用数字化技术、在测控系统中用ip核实现d/a转换,并且在1片可编程逻辑器件中实现。它不受温度的影响,既可保持高分辨率,又可降低对电路精度和稳定度的要求,并减少元件的数量。

关键词:ip d/a vhdl 可编程逻辑器件

ac适合需要相对高精度的低频应用。在这种应用中,电压不会很快地变化,因此,rc的时间常数可以很大,以减小噪声。
  • 上一篇工学论文:
  • 下一篇工学论文:
  •  作者:佚名 [标签: 系统 实现 转换 ]
    姓 名: *
    E-mail:
    评 分: 1分 2分 3分 4分 5分
    评论内容:
    发表评论请遵守中国各项有关法律法规,评论内容只代表网友个人观点,与本网站立场无关。
    浅谈智能化电力监控系统在高校宿管中的应用
    火电厂电气监控系统接入DCS方式探究
    浅谈我国铁路列控系统CTCS功能特点和发展
    浅谈综合监控系统在天津地铁的应用
    GPS在测绘小煤窑采掘现状中的应用
    网络高清监控系统组建的常见问题分析
    基于GPRS的皮带抽油机远程监控系统设计
    中原油田高清监控系统应用分析
    新型农业大棚监控系统研究
    电力通信监控系统的设计研究
    高铁工程建设安全质量闭环管控系统
    浅谈国内外数控系统发展现状研究
    | 设为首页 | 加入收藏 | 联系我们 | 网站地图 | 手机版 | 论文发表

    Copyright 2006-2013 © 毕业论文网 All rights reserved 

     [中国免费论文网]  版权所有